English | 简体中文 | 繁體中文 | 한국어 | 日本語
Wednesday, 14 May 2014, 07:10 HKT/SGT
Share:
    

Source: Applied Materials, Inc.
Applied Materials Introduces the Biggest Materials Change to Interconnect Technology in 15 Years
-- New Endura(R) Volta(TM)CVD system's unique cobalt processes ease interconnect bottlenecks to enable continued Moore's Law scaling
-- Two breakthrough interconnect applications designed to support future generations of high-performance, low-power microchips
-- Industry's first selective CVD metal process demonstrates Applied's leadership in precision materials engineering

SANTA CLARA, CA, May 14, 2014 - (ACN Newswire) - Applied Materials, Inc. today announced its Applied Endura(R) Volta(TM) CVD Cobalt system, the only tool capable of encapsulating copper interconnects in logic chips beyond the 28nm node by depositing precise, thin cobalt films. The two enabling applications, a conformal cobalt liner and a selective cobalt capping layer, provide complete enclosure of the copper lines, improving reliability by an order of magnitude. The introduction of cobalt as a superior metal encapsulation film marks the most significant materials change to the interconnect in over 15 years.

"The reliability and performance of the wiring that connects the billions of transistors in a chip is critical to achieve high yields for device manufacturers. As wire dimensions shrink to keep pace with Moore's Law, interconnects are more prone to killer voids and electromigration failures," said Dr. Randhir Thakur, executive vice president and general manager of the Silicon Systems Group at Applied Materials. "The Endura Volta system builds on Applied's precision materials engineering leadership by delivering CVD*- based cobalt liner and selective cobalt capping films that overcome these yield-limiting issues to enable our customers to scale copper interconnects to beyond the 28nm node."

The Endura Volta CVD system, with its two new process steps, represents a major technology extension for copper interconnects beyond 28nm. The first step involves the deposition of a thin, conformal CVD cobalt liner to increase the gap fill window of copper in narrow interconnects. This process improves the performance and yield of the device by integrating the pre-clean, PVD*barrier, CVD cobalt liner and copper seed processes under ultra-high vacuum on the same platform.

The second step, a new "selective" CVD cobalt capping step, is deposited after CMP* to encapsulate the copper lines for enhanced reliability performance. Complete envelopment of copper lines with cobalt creates an engineered interface that demonstrates over 80x improvement in device reliability.

"Applied's unique CVD cobalt processes represent an innovative materials-enabled scaling solution," said Dr. Sundar Ramamurthy, vice president and general manager of Metal Deposition Products at Applied Materials. "It is deeply satisfying that these materials and process innovations in development for almost a decade are now being adopted by our customers for their high-performance mobile and server chips."

Applied Materials, Inc. (Nasdaq:AMAT) is the global leader in providing innovative equipment, services and software to enable the manufacture of advanced semiconductor, flat panel display and solar photovoltaic products. Our technologies help make innovations like smartphones, flat screen TVs and solar panels more affordable and accessible to consumers and businesses around the world. Learn more at www.appliedmaterials.com.

*PVD: physical vapor deposition; CVD: chemical vapor deposition; CMP: chemical mechanical planarization

Contact:
Connie Duncan (editorial/media) +1-408-332-0541
Michael Sullivan (financial community) +1-408-986-7977


PHOTO: Applied Materials Endura(R) Volta(TM) CVD Cobalt System
http://hugin.info/143724/R/1784861/611765.jpg


This announcement is distributed by NASDAQ OMX Corporate Solutions on behalf of NASDAQ OMX Corporate Solutions clients.
The issuer of this announcement warrants that they are solely responsible for the content, accuracy and originality of the information contained therein.
Source: Applied Materials via Globenewswire

Topic: New Product
Source: Applied Materials, Inc.

Sectors: IT Individual
https://www.acnnewswire.com
From the Asia Corporate News Network


Copyright © 2024 ACN Newswire. All rights reserved. A division of Asia Corporate News Network.

 

Applied Materials, Inc. Related News
Oct 5, 2023 10:22 HKT/SGT
Electronics Asia Conference 2023 to Highlight IoT, AI/ML, Automotive, and Wireless Developments Driving Semiconductor Industry Growth in Asia
Mar 10, 2016 13:00 HKT/SGT
Thailand's Semiconductor Sector On Track to Tap US$280B Global Automotive Electronics Industry
Feb 19, 2016 10:00 HKT/SGT
Applied Materials Announces First Quarter 2016 Results
Dec 18, 2015 22:30 HKT/SGT
Applied Materials Appoints Adrianna Ma to Board of Directors
Dec 15, 2015 20:30 HKT/SGT
Applied Materials' Chorng-Ping Chang Named 2016 IEEE Fellow
More news >>
Copyright © 2024 ACN Newswire - Asia Corporate News Network
Home | About us | Services | Partners | Events | Login | Contact us | Cookies Policy | Privacy Policy | Disclaimer | Terms of Use | RSS
US: +1 214 890 4418 | China: +86 181 2376 3721 | Hong Kong: +852 8192 4922 | Singapore: +65 6549 7068 | Tokyo: +81 3 6859 8575